[time-nuts] Frequency division by 81

Tim Shoppa tshoppa at gmail.com
Thu Jun 18 15:50:12 UTC 2020


Depending on your needs there are many off-the-shelf programmable
frequency divider IC's.

Most of the modern ones are not programmed by strapping pins but by serial
connection to a microcontroller so this may not be your cup of tea.

If so, look at the math and note that 81 = 9*9 or 3*3*3*3.

Two divide-by-nines in series is very straightforward with something as old
fashioned as a couple 7490's with some AND gates.

And four divide-by-threes in series is done with bare flip flops. You can
even google to find divide-by-three with a square wave output if that's
what you need.

Tim N3QE

On Thu, Jun 18, 2020 at 11:42 AM Gilles Clement <clemgill at gmail.com> wrote:

> Hi
> I need to divide the output of an OCXO by a factor D=81 for testing
> purposes. So with minimum added phase noise.
> PICDIV-like approches would not work (D needs to be divisible by 8 or at
> least be even)
> I went through the archives and it seems that an Injection Locked
> Frequency Divider with resynchronization flip-flop could be a simple and
> acceptable solution.
> As described in the following Wenzel paper: Unusual Frequency
> Dividerswww.wenzel.com › uploads › dividers <
> https://www.google.com/url?sa=t&rct=j&q=&esrc=s&source=web&cd=&cad=rja&uact=8&ved=2ahUKEwik49qGpIvqAhURahQKHTBVClAQFjABegQIARAB&url=http%3A%2F%2Fwww.wenzel.com%2Fwp-content%2Fuploads%2Fdividers.pdf&usg=AOvVaw2m-9lURROiSbG9XykiDNDU
> >
> Does this make sense?
> Gilles.
> _______________________________________________
> time-nuts mailing list -- time-nuts at lists.febo.com
> To unsubscribe, go to
> http://lists.febo.com/mailman/listinfo/time-nuts_lists.febo.com
> and follow the instructions there.
>



More information about the Time-nuts_lists.febo.com mailing list