[time-nuts] Frequency division by 81

Hal Murray hmurray at megapathdsl.net
Thu Jun 18 16:44:28 UTC 2020


> I need to divide the output of an OCXO by a factor D=81 for testing purposes.
> So with minimum added phase noise. PICDIV-like approches would not work (D
> needs to be divisible by 8 or at least be even)  I went through the archives
> and it seems that an Injection Locked Frequency Divider with resynchronization
>  flip-flop could be a simple and acceptable solution.  

If a resynchronization FF gets you good enough signal quality, then you can do 
the divide in digital logic.

What is the input frequency?


-- 
These are my opinions.  I hate spam.







More information about the Time-nuts_lists.febo.com mailing list