[time-nuts] Frequency division by 81

Didier Juges shalimr9 at gmail.com
Thu Jun 18 23:55:22 UTC 2020


You can use one of the Silabs 8051 microcontrollers. They run one clock per
instruction (for the 1 byte instructions like NOP) so dividing by 81 should
not be a problem.
I use them extensively to make programmable dividers. My favorite small
package is a SO-14. They have much smaller devices but they are in "no pin"
packages. They do not have DIP.
If you are interested, I can probably jury rig one for you this weekend, I
have a bunch of them on hand.
The small devices run at up to 25 MHz and run at 3.3V.

Didier KO4BB.

On Thu, Jun 18, 2020, 10:42 AM Gilles Clement <clemgill at gmail.com> wrote:

> Hi
> I need to divide the output of an OCXO by a factor D=81 for testing
> purposes. So with minimum added phase noise.
> PICDIV-like approches would not work (D needs to be divisible by 8 or at
> least be even)
> I went through the archives and it seems that an Injection Locked
> Frequency Divider with resynchronization flip-flop could be a simple and
> acceptable solution.
> As described in the following Wenzel paper: Unusual Frequency
> Dividerswww.wenzel.com › uploads › dividers <
> https://www.google.com/url?sa=t&rct=j&q=&esrc=s&source=web&cd=&cad=rja&uact=8&ved=2ahUKEwik49qGpIvqAhURahQKHTBVClAQFjABegQIARAB&url=http%3A%2F%2Fwww.wenzel.com%2Fwp-content%2Fuploads%2Fdividers.pdf&usg=AOvVaw2m-9lURROiSbG9XykiDNDU
> >
> Does this make sense?
> Gilles.
> _______________________________________________
> time-nuts mailing list -- time-nuts at lists.febo.com
> To unsubscribe, go to
> http://lists.febo.com/mailman/listinfo/time-nuts_lists.febo.com
> and follow the instructions there.
>



More information about the Time-nuts_lists.febo.com mailing list